歡迎您光臨本站 註冊首頁

Verilator 4.026 發布,高性能 Verilog HDL 模擬器

←手機掃碼閱讀     admin @ 2020-01-22 , reply:0

Verilator 4.026 發布了。

Verilator 是一個高性能 Verilog HDL 模擬器與 lint 系統,它不會簡單地將 Verilog HDL 轉換為 C++ 或 SystemC。Verilator 不會簡單地將 Verilog HDL 轉換為 C++ 或 SystemC。Verilator 不僅可以翻譯,還可以將代碼編譯為速度更快的優化與可選的線程分區模型,同時這些模型封裝在 C++/SystemC/Python 模塊中。

經過編譯的 Verilog 模型,即使在單線程上執行的速度也比獨立 SystemC 快 10 倍以上,並且在單線程上的執行速度比諸如 Icarus Verilog 之類的解釋 Verilog 模擬器快 100 倍。多線程可能還會使速度提高 2-10 倍(在解釋型模擬器上總共可以提高 200-1000 倍)。

此版本更新內容包括:

  • 現在有 Docker 鏡像
  • 支持有界隊列
  • 斷言中支持蘊涵運算元 「 |->」 
  • 支持字元串比較,ato* 等方法
  • 支持即時覆蓋聲明
  • 更新 FST 跟蹤 API,以獲得更好的性能
  • 添加vpiTimeUnit並允許將時間指定為字元串
  • 在源碼版本控制衝突中添加更簡潔的錯誤
  • 修復小端位元組範圍
  • 修復隊列問題
  • 修復 shell 中 #! 導致的問題

詳情查看更新說明:

https://www.veripool.org/projects/verilator/news


[admin ]

來源:OsChina
連結:https://www.oschina.net/news/112944/verilator-4-026-released
Verilator 4.026 發布,高性能 Verilog HDL 模擬器已經有600次圍觀

http://coctec.com/news/all/show-post-223538.html